3D Multiprocessor with 3D NoC Architecture Based on Tezzaron Technology - ENSTA Paris - École nationale supérieure de techniques avancées Paris Accéder directement au contenu
Communication Dans Un Congrès Année : 2012

3D Multiprocessor with 3D NoC Architecture Based on Tezzaron Technology

Résumé

In this paper, we describe the architecture and implementation of 3D multiprocessor with 3D NoC. The 2 tiers design is based on 16 processors communicating using a 4x2 mesh NoC and will be fabricated using Tezzaron technology with 130 nm Global Foundaries low power standard library. Due to the limitation when investigating NoC performance using simulation, the purpose of this work is to accurately measure NoC performances in real 3D chip when running mobile multimedia applications to evaluate the impact of 3D architecture compared to 2D.

Domaines

Electronique
Fichier principal
Vignette du fichier
3dic2012-3dmpsoc-v3-05-12-2011.pdf (147.8 Ko) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

hal-00665217 , version 1 (01-02-2012)

Identifiants

  • HAL Id : hal-00665217 , version 1

Citer

Mohamad Jabbar, Dominique Houzet, Omar Hammami. 3D Multiprocessor with 3D NoC Architecture Based on Tezzaron Technology. IEEE International 3D System Integration Conference (3DIC), Jan 2012, Osaka, Japan. 3D Applications - p.2-18. ⟨hal-00665217⟩
468 Consultations
750 Téléchargements

Partager

Gmail Facebook X LinkedIn More